by Peggy Aycinena

March 31, 2005

Subscribe to EDA Nation & Chip Design Magazine  |   Advertise


Published by:

Contents

Editor’s Note
History & Geography — "An ESL State of Mind"
Commerce & Industry
Economics & Finance
Politics & Government
Citizenry
Festivals & Fairs

Get a FREE, time-unlimited version of InCyte™ at ChipEstimate.com.
The new tool from Giga Scale IC® accurately estimates die size, cost, leakage, power and yield, to within five to ten percent of silicon.
Register to download InCyte at http://www.chipdesignmag.com/redirect.php?adId=35 today!

Editor’s Note

Can you believe it? The second quarter of 2005 is already at hand. Where did the first quarter go? More importantly, was technical progress made in its passing? Hopefully, if the number of conferences which convene worldwide in the first quarter of each calendar year is any indication, the answer to that question is: Yes. Technical progress has been made – even over the course of these past 90 days – and you, yourself, probably contributed to that progress.

Of the many first quarter conferences of note each year, DATE – the Design Automation and Test in Europe Conference – is one of them. Some 4000+ people were on hand in Munich for DATE 2005 in March, and some of the very hot topics under discussion there included DFM and ESL – Design for Manufacturing and Electronic System Level Design. Next month here in EDA Nation, we'll talk more about DFM. This month, the conversation is about ESL.

There are lots of questions swirling around ESL – Is it here? If so, what is it? Who's doing it? And who's buying the tools that fall into that category?

But ESL is more than an assemblage of questions. It's more like a state of mind. So from an EDA perspective, the better question might be – Which companies have, or are about to, assume the ESL State of Mind?

If his high-profile appearances at DATE in Munich are any indication – he moderated 3 different panels at the conference – Dataquest's Gary Smith may have the answers to some of these questions. Hence the conversation included in this month's article in EDA Nation starts, to a certain extent, with Gary Smith and continues from there.

By the way, when I say this article is a conversation, I really mean a conversation. There are 10 companies and an industry analyst chiming in here, and that makes for a pretty darn interesting read. As is often the tradition here, however, the conversation is long.

So before getting started, go get that requisite cup of java. You're going to want to stay alert through the whole thing because if you do, you'll be a better conversationalist yourself going forward. That is, if you're interested in ESL, its nuances, and the role it's playing today and tomorrow in electronic design.

Peggy Aycinena
Editor

Back to Top

Get a FREE, time-unlimited version of InCyte™ at ChipEstimate.com.
The new tool from Giga Scale IC® accurately estimates die size, cost, leakage, power and yield, to within five to ten percent of silicon.
Register to download InCyte at http://www.chipdesignmag.com/redirect.php?adId=35 today!

History & Geography

"An ESL State of Mind"

The questions posed in this article arise from a consecutive set of circumstances. First I had lunch with Simon Napper and Vindod Kathail of Synfora, and that conversation was quite compelling. Then I shared a cup of coffee with Shiv Tasker and George Harper of Bluespec. That was also a compelling conversation, in particular because Shiv and George were interested – although they disagreed – with the article published here in EDA Nation in January. That article was about ESL Design and featured comments from Celoxica's Jeff Jussel.

Finally, I moderated a panel about ESL at DATE in Munich that included spokesmen for Verisity, Cadence, MIPS, Synplicity, ChipX, and Xilinx. Again, it was a compelling conversation, but I think it would have been a more robust discussion had it included companies that actually position themselves as providers of ESL technology. Therefore, herein you'll find what I would consider a Dream Team Panel on ESL.

The 'panelists' represent companies that I believe do position themselves as players in the ESL market. The panel also includes one analyst and one IP company that uses ESL tools. The participants received the questions via e-mail and provided their responses via e-mail, as well. They were only allotted 600 words for their responses (although admittedly some ran long), so they were asked to skip the questions that were of lesser relevance to their particular message.

When next you have the chance, I would invite you to engage any of these people in person on the topic of system-level design. I think you'll see that these folks are definitely focused on the ESL State of Mind.

The Panelists:
Dataquest – Gary Smith, Chief EDA Analyst
Bluespec – Shiv Tasker, CEO
Celoxica – Jeff Jussel, Vice President of Marketing
CoWare – Mark Milligan, Vice President of Marketing
CriticalBlue – David Stewart, CEO
Forte – Brett Cline, Vice President, Customer Operations & Services
Ignios – Mark Lippett, CTO
SpiraTech – Simon Calder, CEO
Summit Design Automation – Emil Girczyc, President and Chief Executive Officer
Synfora – Simon Napper, CEO
The MathWorks – Ken Karnofsky, Marketing Director

The Questions:

Q.1 – Define ESL. Or perhaps it can't be defined?

Gary Smith, Dataquest – Electronic-system level (ESL) is the concurrent design of both hardware and software. This is the official Dataquest definition.

Shiv Tasker, Bluespec – The best definition I’ve heard for ESL is the design level above RTL. This embodies system modeling and high-level implementation activities. Whatever the definition, ESL solutions and flows should improve entire designs and a broad application space, not just sub-blocks in small niches.

Jeff Jussel, Celoxica – ESL is the methodology and tools that support the design of electronic products beginning from algorithmic software-based functional models, usually written in C. The flow begins with functional TLM modeling, then partitioning of the functionality across an embedded architecture, and finally connecting the C-models to an implementation flow in embedded software (compilers) or hardware (behavioral synthesis).

Mark Milligan, CoWare – ESL is an industry emerging between – and often before [in the design cycle] – embedded software and EDA. The difficulty in defining “ESL” is that we’ve been trying to define it in terms of a tool or set of capabilities, instead of realizing it is a new industry. Misnomers are typical with new industries. We use the analogy of the horseless carriage. The $500 billion automotive industry was originally defined in terms of older, familiar technology, rather than in the context of a major new industry. ESL shouldn’t be defined in terms of EDA; it is becoming a new industry with unique attributes.

Companies in electronic design are facing enormous cost pressures. Two ways out of that: create and maintain more differentiated designs, or cut costs (or both). ESL helps customers create and maintain differentiated designs – often through software. ESL is about software-dominated design. Underlying hardware features are driven by software, but both can be optimized simultaneously early in the architecture phase. It’s not just automating. It’s creating better designs with more value and lasting differentiation. ESL also better connects the design chain by connecting system architects to customers, RTL designers, verification engineers, and critically, to embedded software developers. This enables geographically separated teams to work better together.

David Stewart, CriticalBlue – ESL is all the stuff you need to do to design a product before you start writing RTL or stitching together RTL. The key thing about ESL is that it is implementation independent – major decisions about architecture and hardware/software partitioning have not yet been made and are still to be investigated. To emphasize the point, ESL must include software development. Most definitions of ESL are self-serving and cover a hideously constrained subset of the space, for example, SystemC to RTL.

Brett Cline, Forte – ESL by definition is “Electronic System Level.” However, in practical terms, ESL represents the next abstraction level above register transfer level (RTL). There are two main components to ESL which are hardware design and software design. Hardware design is typically done behaviorally.

Mark Lippett, Ignios – ESL is a technology which: enables the abstract architectural exploration of a system before making firm decisions about the software/hardware partitioning; enables efficient software/hardware co-design; offers a virtual platform for software development on a fast-executing model of a new hardware architecture. Although the first of these represents an exciting long-term opportunity for system design and realization, this does not seem widely available (or at least, used) at the moment.

Today, as system designers move away from the traditional "single processor + peripherals" architectures, ESL fulfills a vital role in providing a software development environment which is both fast and reflective of the complexities of the target platform.

Ken Karnofsky, The MathWorks – ESL is a collection of EDA technologies that aim to provide a more efficient way to model complex hardware. It aims to provide a higher level of abstraction for faster simulation and verification of those systems, and to enable pre-silicon software development.

The EDA Consortium uses the terms System-Level Design and System-Level Verification to describe similar concepts. They encompass software tools that capture, simulate, partition, validate, and verify systems that comprise both hardware and software, including interfaces to code compilation, logic synthesis, and logic simulation.

Simon Calder, SpiraTech – ESL is not a level of abstraction, as its acronym would suggest. I would argue that ESL is the evolutionary 'process' of raising the level abstraction in IC design, verification and test to somewhere above RTL. Any tool involved in that process can be called an ESL tool, or any company involved can be called an ESL company. (Therefore, pretty much every front-end company.)

Emil Girczyc, Summit Design – ESL is not just design above RTL, and it is not necessarily design in SystemC. ESL addresses and supports the design decisions made at the system level – system architecture tradeoffs, hardware/software partitioning, IP component selection and sizing, and system level verification of performance, power, and functionality. Historically, these issues were solved by simple static analysis using pencil and paper or Excel, but as system complexity, competition, and time to market pressures have increased, more accurate dynamic analysis is required.

Simon Napper, Synfora – In the broadest sense, ESL (electronic system level) design is about designing entire electronic systems (printers, cell phones, cameras, etc.) and meeting price, performance, and power requirements. ESL is not about designing only specific components such as processors, memories, and interconnects. In my view, ESL design consists of these steps:

* 1) System Definition – This is where an engineer defines the architecture of the system, including what goes into hardware and what goes into software; which CPUs, buses, and memories to use; what could be implemented using off-the-shelf IP and what requires development of new IP, etc. System definition requires an emphasis on modeling and analyzing performance to make the right choices.

* 2) Custom IP Design – Many (or most) systems need custom IP to meet price, performance, and power requirements. Designing custom IP is a significant component of the overall design in terms of design time and design cost. T he missing ingredient in ESL design is automatic implementation, or synthesis. RTL was an accepted level of design because RTL synthesis eliminated the need to create gate-level descriptions manually. Until there is a similar capability—creating RTL automatically from an ESL description—the adoption of ESL will not be successful.

* 3) System Integration and Verification – In this step, the entire system, including custom and off-the-shelf IP, is put together and verified for accuracy and performance.

* 4) RTL to GDSII – This is the traditional back-end flow.

Q.2 – Explain why you agree/disagree with Gary Smith's evaluation of the situation?

[Editor's Note: Gary Smith will be publishing an article in an upcoming issue of Chip Design Magazine that will layout his evaluation of the situation.]

Shiv Tasker, Bluespec – We were pleased Gary named Bluespec one of only three “must see at DAC” ESL synthesis companies last year. I’m not familiar with his evaluation, but will be interested in his assessment when he completes his current ESL flow work and would be happy to comment then.

Jeff Jussel, Celoxica – The definitions to date have been hardware oriented and have defined ESL as an extension of the existing RTL hardware design flow. ESL is a revolution, not an evolution and goes much further than simply bringing co-design elements to SoC designers. ESL brings the possibility of using custom hardware to applications that never could have used hardware before. In this way, it is opening EDA to markets that haven't historically included hardware designers.

David Stewart, CriticalBlue – I don’t agree that more ESL design is happening; I think it has been happening for as long as hardware and software have co-existed in electronic products. What I see is more automation being introduced into the processes, driven primarily by complexity and time-to-market pressures.

Brett Cline, Forte – Gary has shown clear insight in predicting that the market is moving away from RTL and that the next abstraction level is necessary for timely creation of complex systems. Gary is correct when he says that it is important for methodologies to exist for a design style to take off. Often design teams build on the RTL flow that they have by augmenting it with higher-level tools. This provides the advantage of the new tools while preserving the investment in the old tools.

Mark Lippett, Ignios – I cannot comment on the quantitative analysis in the SoC sector of Gary's evaluation. However, we have qualitative feedback from the engineering management in our target customer base of companies designing complex SoCs. There is a growing recognition that ESL is a mandatory part of the development of all new software programmable platform chips. Software development *has* to start before silicon is available. We have also seen cases where existing chips, which are shipping in volume today, are being retrospectively modeled in ESL environments to facilitate end-user software development and support.

Ken Karnofsky, The MathWorks – Gary has accurately identified the segments currently being addressed by EDA companies (both established and startups). He is also correct in acknowledging the increasingly important role of the system architects that The MathWorks serves, as well as The MathWorks market-leading share. He also acknowledges that ESL is a subset of “system-level design” (SLD), which encompasses both electronic and mechanical elements. In other words, ESL does not cover the whole system.

Many system engineers that The MathWorks serves are doing “full” system-level design – encompassing hardware, software, and mechanical systems, as well as the real-world environments that they operate in (e.g., communications channels, noise models, human perception) – not just the electronics. Others are developing signal processing and control algorithms, converting them to fixed-point, and evaluating their performance and impact on overall system behavior. These elements are necessary to make sure that the team is “building the right thing” (meeting requirements) and getting it right the first time (i.e., eliminating design flaws), as well as simply testing to find flaws later in the development process.

Simon Calder, SpiraTech – If I understand Gary, correctly, Gary's position is that ESL is about hardware/software co-design. This is hard to disagree with, but somewhat out-of-character, because I think Gary is understating ESL.

EDA has always been about reducing the time to revenue for semiconductor IC's. That is what ESL is about. Absolutely, making the software and hardware elements parallel tasks and not serial is a massive gain, but using higher levels of abstraction to hasten SoC and ASIC design, debugging, validation and verification has just as much impact if re-spins can be irradiated.

What has happened recently is that levels of abstraction that had been useful for only hardware/software co-design have been brought into the verification flow. This has been done by bridging the gap between those pure un-clocked transaction level domains with the perfectly cycle accurate world of registers, gates and transistors.

Simon Napper, Synfora – Gary Smith is stirring the pot. He’s encouraging thought and determining whether there is a consensus. I think that there are some pieces missing from a comprehensive and effective ESL methodology. And I’d argue that synthesis is the big piece that is missing. It’s high-level synthesis that will define and drive the deployment of ESL much more broadly than where it stands today.

Q.3 – Does working in SystemC = Working at the ESL level?

Gary Smith, Dataquest – No. You can do RTL design with SystemC. It's a dumb idea, but you can. Also, you can work at the ES level with other languages. For instance, C and e have been used for years. The first ESL designs were actually done with VHDL.

Shiv Tasker, Bluespec – Working in SystemC is, well, working in SystemC. As with many languages, you can work with SystemC at different levels, from ESL to RTL. TX modeling and testbench development with SystemC may be ESL, but synthesizable SystemC is almost always RTL.

Jeff Jussel, Celoxica – SystemC as a modeling language is part of the ESL flow, and coupled with behavioral synthesis serves as an implementation language for the 'back-end' of ESL where the algorithms are connected to existing hardware RTL flows. However, simply adding a SystemC interface does not make a hardware design tool suddenly part of the ESL flow.

Mark Milligan, CoWare – Working in SystemC does equal ESL. But ESL doesn’t necessarily always equal SystemC. Algorithm and embedded processor development aren’t necessarily done in SystemC, and they are definitely part of ESL. Embedded software isn’t written in SystemC, yet modeling for ESW development is a main driver for SystemC.

David Stewart, CriticalBlue – No. SystemC is a hardware modeling language. Assuming you want to use SystemC, there’s a lot of ESL activities before you get to the point of wanting to model your hardware (see definition of ESL above).

Brett Cline, Forte – Technically, working at the ES level (or at ESL) is not the same as SystemC. However, SystemC is the glue that links the methodology together. SystemC provides the starting point for design modeling as a superset of C/C++, easily supports verification with a defined simulation standard, supports implementation with SystemC synthesis tools such as Forte’s Cynthesizer, works with the latest in debug and analysis technology, etc. Because of SystemC, leading edge products from several vendors easily work together. ANSI-C and other C dialects are locked to proprietary vendor products.

Mark Lippett, Ignios – No, SystemC is not necessarily equivalent to ESL. In theory SystemC can be used to reflect an RTL abstraction, so it is vital to remain cognizant of the target abstraction level when writing SystemC.

In many ways SystemC is not the ideal choice for ESL. If we agree that ESL should enable us to establish a software/hardware partition, then in an ideal world the ESL programming model would be seamlessly compatible with the hardware or software development flow. This should mean that at least some of the results at the ESL level would be directly reusable in the final product. However, at present, SystemC is neither of these – it is not a pragmatic route to gates, nor is it a recognized kernel for runtime software.

Notwithstanding the programming model differences, SystemC is not a new language. The principal advantage of SystemC is its empowering effect on the comparatively huge amount of expertise in the software engineering community who, with the advent of ESL tools, are now capable of defining functionality which may ultimately be embodied in hardware.

Ken Karnofsky, The MathWorks – Certainly not. SystemC addresses a subset of the ESL challenges facing electronics manufacturers, and a smaller subset of the problems facing embedded software developers. In fact, it has been proven that Model-Based Design with Simulink, including automatic generation of C code, produces more efficient, reliable software with dramatic reductions in development time and effort. FPGA engineers are finding similar benefits using Simulink and third party automatic RTL generation tools. In addition, SystemC does nothing to address the needs of analog and mixed-signal engineers who need to work at a higher level of abstraction and collaborate ever more closely with digital designers.

Finally, choosing SystemC as a system design language is based on a false premise: that system architects choose C because it is somehow a more productive approach. In fact, most choosing that approach do so because it is freely available, not because it is productive. Adding classes and a scheduler masks the issues. C, C++, and SystemC are too low level to do system-level design productively. This has been demonstrated by the order-of-magnitude productivity gains engineers achieve when they move to Simulink and Model-Based Design to specify, implement, and verify systems.

The answer is to specify and verify designs with executable models, and then generate the code automatically. The previous leap in productivity wasn’t achieved by incrementally improving logic design (or assembly code for software) – it came from a leap in abstraction to higher level languages, accompanied by synthesis and compiler technology. SystemC does not provide the requisite leap for the next generation.

Simon Calder, SpiraTech – Yes and No. It is difficult to imagine anyone using SystemC who has not embraced a methodology that uses levels of abstraction higher than RTL. But please remember SystemC can be and is being used to make RTL style models. They run faster than Verilog or VHDL, but not much. By my definition this is not ESL as the level of abstraction remains unchanged, this can only be considered accelerated RTL. SystemC does appear to have become the modeling language of the transaction levels.

Emil Girczyc, Summit Design – NO! Please see my answer to Question #1.

Simon Napper, Synfora – ESL refers to how to design complex systems (or SoCs) in a limited amount of time with limited resources. SystemC, on the other hand, is a specific language, and possibly a specific methodology, that is good for system-level modeling and verification (used in Step 1 and parts of Step 3). There are multiple languages available for this. Some people use MATLAB for system modeling, and there’s nothing wrong with that. In fact, Gary Smith has just added MATLAB and related tools as one of the groups he tracks to measure ESL revenues.

SystemC is a subset of ESL. People are grasping for a sound bite to encapsulate ESL, and for a lot of people that’s what ESL means. I think that two years from now, it will be understood to be a component of ESL.

Q.4 – Should the conversation about ESL be language neutral? Is that possible?

Gary Smith, Dataquest – Yes and no. We had a lot of RTL languages at one time (for instance, iHDL, nHDL, etc.) However, Verilog put the methodology on the map. SystemC has done the same for the ESL Methodology.

Shiv Tasker, Bluespec – The conversation about ESL should not be restricted to one language, just as RTL isn’t just Verilog. Certainly syntax is not directly relevant, but semantics is crucial (e.g., elaboration, static checking, computation models, composability). While the ESL conversation should be language-neutral, it won't be to the extent that certain semantic ideas are only expressed (easily) in some languages.

Jeff Jussel, Celoxica – Languages are part of the 'religion' of EDA and as such are always hot topics. The ESL languages define important modeling and implementation capabilities, and as standards provide much needed portability and reuse. However, the discussion of ESL goes beyond languages (and tools for that matter). We deliver ESL flows with multiple language support.

David Stewart, CriticalBlue – ESL has nothing to do with languages. Any language which relates to some part of system design prior to implementation is relevant to be included in an ESL discussion; such a discussion need not be language neutral, it should just be language inclusive. The idea that there will be a unified language of ESL (as per my definition in #1) is ridiculous.

Mark Lippett, Ignios – From an idealistic perspective: Yes. From a practical perspective: No. A common language is needed for both software and hardware in order for functionality to be migrated from one domain to the other in the ESL space. There are far too many barriers to the adoption of an HDL in the software engineering community. Therefore I believe that the hardware community will have to rise to the challenge of C/C++ based modeling. This does not necessarily mandate the use of SystemC in particular, but this would be the most pragmatic choice today.

Ken Karnofsky, The MathWorks – The discussion should be about capabilities and methodologies that are needed to solve customers’ problems. A debate about which language is best suited to specific tasks is a productive exercise.

Simon Calder, SpiraTech – Yes and Yes. Raising the level of abstraction suggests a simplification, but as everyone knows simplifying things is a complex process. ESL is no different. There are so many things to be done in raising the level of abstraction that no one language can do it all well. Any language that saves time and money will justify itself, as long as it is not maintained as a monopoly by a single vendor.

Emil Girczyc, Summit Design – Ultimately, customers decide what will work best for their projects and within their particular flows. If a customer is already working in a C environment, then the move to SystemC would likely be natural and preferred for ease of use and functionality. DSP designers would likely use Matlab for their algorithmic development, but today's system design and verification is not just about signal processing.

Simon Napper, Synfora – ESL is a solution to a problem. The conversation should be about defining the problem and how ESL could solve it. To us, the problem is productivity and the cost to complete a complex SoC. We focus on which flow a designer is trying to operate, and how is it helping to increase productivity and reduce the cost of a design.

Q.5 – Are the current standards efforts with regards to SystemVerilog warranted if we're all just going to move to SystemC?

Gary Smith, Dataquest – Yes, Verilog badly needs upgrading and SystemVerilog is that upgrade. SystemVerilog is an RTL language, not an ESL language, so the two will co-exist.

Shiv Tasker, Bluespec – While SystemC is gaining use for functional modeling and testbenches, the path to RTL is murky at best. I suspect hardware designers would be quite surprised to hear that we're all just going to move to SystemC. From their viewpoint, it offers nothing compared to SystemVerilog (and some would argue SystemC’s a big step backward).

Mark Milligan, CoWare – Improvements to Verilog such as SystemVerilog shouldn’t stop. This development is warranted for incremental improvements to the implementation and verification process. More automation for EDA is good; getting dramatic design differentiation using ESL is great.

David Stewart, CriticalBlue – It’s not about languages. If some people want to use SystemVerilog versus SystemC, let them get on with it. In the end, if the language works for them and the tools exist that get them to market on time, it’s a solution. It’s just important to remember that getting from SystemVerilog or SystemC to RTL is typically a small piece in a big puzzle.

Brett Cline, Forte – Yes, but for RTL implementation and verification. SystemVerilog is the next generation of Verilog and provides a good starting point for RTL-based design. Even though the leading edge customers are moving to SystemC, RTL design will still have a place for some customers for the near future.

Mark Lippett, Ignios – I see SystemVerilog as a useful extension to existing RTL design languages, not as a candidate for ESL design (at least, as defined above). As a semiconductor IP company with a synthesizable deliverable, we have to be conservative in our expectations of our customers' integration and synthesis flow. So, in the short and medium term, Verilog and derivatives are the only pragmatic choice for synthesis. Whilst that is the case, SystemVerilog will provide value in lower level hardware embodiment and testing.

Simon Calder, SpiraTech – Many customers believe that SystemVerilog is best for designing test benches and if nothing else it has the potential to make the whole RT Level run better, which in itself is important. SystemVerilog has an important role to play even though SystemC appears to have won the TLM battle.

Emil Girczyc, Summit Design – The two languages serve different needs, though some confusion about SystemC for use at the RT-level did take up much press at one time.

Simon Napper, Synfora – They have taken on a life of their own. The focus of ESL should be on significantly boosting designer productivity.

Q.6 – Aren't there always going to be problems using C-based languages to describe parallelism?

Gary Smith, Dataquest – Coming up with a Concurrent Software (C ?) Compiler is the most important breakthrough technology needed for ESL Design.

Shiv Tasker, Bluespec – 'C-based' encompasses two orthogonal approaches, with different characteristics and issues:

* 1) Automatic parallelization of sequential C: Yes, there will always be problems deriving good, parallel hardware implementations from sequential C code, as demonstrated by 50 years of research on this topic. Researchers in the general computing field of parallel programming have largely abandoned this superficially seductive goal. EDA just hasn’t fully caught on yet.

* 2) Explicit parallelism (e.g., constructs like SystemC's SC_THREAD etc.): Synthesizable, but code is at no higher level than RTL (and often messier).

With # 1, expressing a function is easy; producing good hardware is hard/impossible. The only exception is a small class of vectorizable/VLIW-mappable algorithms, those using tightly nested FOR-loops with simple, linear indices (e.g. FIR filters). But, what % of design is addressed by these code fragments?

With # 2, expressing a function is hard; producing good hardware is no harder than current RTL synthesis. Transaction-level SystemC models require fundamental rewrites for effective hardware synthesis – the concept of smooth refinement to RTL is ridiculous, as sequential algorithms are completely different from parallel ones.

Jeff Jussel, Celoxica – We use C languages because they are good at describing algorithm behavior at a high level, and because they are the language in common for programming embedded processors. C-based implementation languages such as SystemC and Handel-C add the constructs needed to deal with concurrency, timing, interfaces, and other hardware-oriented requirements without losing the high-level advantages of the software-based language.

David Stewart, CriticalBlue – That’s a hardware-centric statement typical of many EDA companies. If you are trying to force fit a general purpose, feature rich but sequential language like C into the parallel world of hardware modeling and implementation then yes, you will have to impose language subsets and coding styles. Note how many vendors support “ANSI C”, i.e. the subset of C and coding styles they support is ANSI compliant!

One of the EDA industry’s biggest opportunities is to capitalize on the explosive growth of embedded software content in today’s electronic products. To do this, they have to recognize, as we have, that the embedded software developer will not tolerate being constrained by modeling guidelines or solutions which don’t allow them to express themselves in unconstrained C/C++. Hardware centric solutions will appeal to RTL designers trying to gain productivity by moving up in abstraction level, but will not grow the EDA market into the embedded software sector.

Brett Cline, Forte – This problem definitely exists for ANSI-C, but not with SystemC. SystemC is a C++ class library. That means that SystemC inherits all of the power of C++ (and essentially C) while also implementing additional abstract concepts such as parallelism, clock accuracy, and bit accuracy necessary to accurately represent hardware.

Designs written in SystemC can have explicit parallelism without hokey proprietary pragmas used to describe things that should happen in parallel.

Mark Lippett, Ignios – It depends whether you wish to explicitly build a "structural" model of a parallel system and then execute code on top of that, or whether you wish to take "algorithmic" C code and infer parallelism from that. I don't doubt that considerable progress will be made in developing "parallel compilers" that extract instruction-level (perhaps even thread-level) parallelism from algorithmic code; however, this is some way off. In the meantime, ESL-based methodologies that use C-based languages to explicitly define a parallel architecture are being used extensively and with considerable success.

Ken Karnofsky, The MathWorks – In order to do so, you force the C code to look like HDLs. This satisfies neither the software developers nor the hardware designers. Simulink, in contrast, has built-in semantics for modeling and simulating real-time, concurrent, multi-rate systems. Simulink models map naturally to hardware and embedded software implementations.

Simon Calder, SpiraTech – We at SpiraTech like to think we have solved some of them.

Emil Girczyc, Summit Design – A variant of C is the most successful HDL because Verilog is a C-based language in the most general definition, and SystemVerilog is adding more C/C++ concepts to Verilog. The HDL dataflow programming style tends to be used at a fairly low level for logic description. The most common HDL coding style is a sequential, procedural programming language with a few parallel (e.g. process) and hardware (e.g. generate) statements. Getting similar constructs right in a more vanilla C context can have similar success.

Simon Napper, Synfora – Your question addresses the core issue of moving to a higher level of abstraction. ESL is trying to increase designer productivity, and increasing productivity means that the tools have to do more of the work. If the tools cannot automatically infer and exploit parallelism, ESL is not going to be an effective solution. There will always be benefits to an experienced designer guiding a tool, but the bulk of the work has to be done automatically.

It also depends on if one is describing a system in terms of functionality or as a collection of hardware components. A system as a collection of hardware components requires a method for describing parallelism, whereas functional descriptions can stay with C for a large part of a system. There are places in system description, especially at the highest level, where you do need an explicit way to describe parallelism, since the description at these levels is more as a collection of components.

Q.7 – How close are we to getting from SystemC to RTL, or is this perhaps the wrong question?

Gary Smith, Dataquest – If you mean automatically, we still have a ways to go. Hopefully, it will be here in two years. Until then, we'll rely on mapping.

Shiv Tasker, Bluespec – More accurately: how close are we to synthesizing good hardware from “high-level” SystemC? Synthesizing good hardware from RTL-level SystemC is easy, but there's no benefit.

It is questionable whether SystemC offers anything in its semantics that makes it easier to synthesize good hardware from high-level descriptions. High-level models must be manually re-written at an RTL level for synthesis. C-based synthesis enhances niche applications: Vectorizable/VLIW-mappable applications can be synthesized, but are a narrow application space. For the rest, SystemC synthesis is an RTL level tool.

Jeff Jussel, Celoxica – With the Agility SystemC synthesis tool, Celoxica has an existing path from SystemC to RTL. But maybe the question implies will SystemC replace RTL? The answer to that is "No." SystemC is used at higher levels of abstraction, but will not replace RTL any more than RTL replaced gate-level design.

Mark Milligan, CoWare – It’s the wrong question. We are talking about a fundamental difference in designs with SoCs versus ASICs with RTL where synthesis was the critical enabler. Now, designs can have hundreds of IP blocks and the challenge is connecting them and designing-in that key differentiation. This must be done with SystemC.

If the question is, “is behavioral synthesis going from SystemC to RTL and are we there yet?” that will be useful, and we’re getting there, but the real key for success is SystemC modeling for IP reuse in SoC designs.

David Stewart, CriticalBlue – It’s the wrong question, unless you happen to be an RTL designer looking to gain productivity. The right question is when will software tool solutions be available, which automate key parts of the manual system design processes that have been used for many years.

Brett Cline, Forte – Perhaps it is the wrong question, because we’re already there. Our customers use SystemC to model their designs and use our Cynthesizer SystemC behavioral synthesis to create hardware in a fraction of the time with better results. They are seeing greater than 50% reductions in time-to-RTL with 33-50% of the resources necessary – with better results.

Maybe the right question is – if this technology already exists and my competitors are using it, how much of a lead do I want to give them while I wait for it to become ‘mainstream’? Of course, I realize that this sounds pretty cynical.

Mark Lippett, Ignios – Focusing on SystemC as a route to RTL is not the wrong question - it's just not the whole question. Referring back to the classification of "structural" and "algorithmic" views of C-based languages in the answer to question 6, there are certainly companies claiming to offer SystemC to RTL *automatic* translation for "algorithmic" descriptions. How efficient these are, and how large the class of applications to which they can be applied, remains to be seem.

Nonetheless, if we look at the structural view of SystemC, this allows the *manual* migration from a fast-simulating SystemC model, which can be rapidly refined, to an accurate RTL implementation, through the use of a common verification suite. This latter approach might be manual, but it is potentially very productive; this is the approach that we use internally for developing our IP cores.

Ken Karnofsky, The MathWorks – The question is whether C or SystemC is the right entry point for design. We contend that it is not, because it is too low a level of abstraction for effective design space exploration, analysis, and optimization. Untimed C has additional problems, because there is no way to simulate the design to validate timing or functional behavior.

Simon Calder, SpiraTech – We are there today. But I suspect your question is; 'How long is it before the RTL to TLM relationship is the same as the Gate Level to RTL relationship of today' If so, my answer is 5-10 years.

Emil Girczyc, Summit Design – There are several viewpoints, but two relevant ones are "We continue to get closer." and "It doesn't matter." "We continue to get closer" with advances in high-level synthesis tools, but more importantly in methodology for IP reuse. If an IP developer (internal group or commercial vendor) delivers a SystemC model for their IP, there is a direct, and effective, path from SystemC to implementation.

This is consistent with traditional system design at the PCB-level based on existing chips. As design teams adopt greater design / IP reuse, the path from SystemC to RTL is implicitly available for an increasing amount of the design. "It doesn't matter" if the value derived from ESL modeling of the system provides enough value in and of itself. This is becoming true for architectural analysis of large systems, and for embedded SW developers who depend on high level models of the HW to get enough simulation cycles to debug their software.

Simon Napper, Synfora – How to design custom IP most productively at the lowest cost is the right question. There is debate about which is the correct language for synthesis, and the real questions are: What does a practical ESL synthesis capability look like? And, How does it fit into the flow?

We argue that, in consumer electronics, the starting point is complex reference algorithms such as H.264, imaging pipelines, etc. written in C. The synthesis tools should take sequential C, infer parallelism automatically, and then automatically generate SystemC models to validate multi-threaded or parallel behavior.

Q.8 – Are Asia and Europe ahead of the U.S. in ESL? If so, why should the U.S. care?

Gary Smith, Dataquest – Yes, but only in the algorithmic ESL methodology. There are other methodologies needed to complete ESL Design.

Mark Milligan, CoWare – Europe and Asia have definitely been ahead of the U.S. in adopting ESL methodologies. But within the last twelve months, U.S. companies have made great strides with pilot programs. The U.S. should care because ESL offers huge opportunities in creating software-differentiated designs and improving the design chain.

David Stewart, CriticalBlue – It’s slightly amusing to me that a successful and powerful nation such as the USA spends so much time pondering about whether they are getting left behind in design methodologies such as ESL. This is usually the behavior of a small, less developed country! My advice: design cool products, and use whatever flows, tools and methodologies you need to get the job done. If that includes ESL then great. However as long as you are designing cool products at good prices on the market at the right time, you’ll be just fine.

Brett Cline, Forte – Yes, the U.S. is behind Asia and Europe. We should care in the U.S. because we are struggling to find ways to make our products more efficiently to try to keep a competitive edge, both in functional concepts and price. Our goal has to be to design better products in less time by making our engineers more productive and the relative costs the same. We need to be the innovators – but at the right cost. In Japan, there are multiple methodologies that exist today and hopefully over time these will flow to the U.S.

Mark Lippett, Ignios – Well, that certainly seems to bear out our own experience. We use ESL tools with our customers to explore the capabilities of new chip architectures that include our IP core. We've spoken with design groups around the world. We see far more extensive and advanced use of ESL outside the U.S., but I cannot claim that this has anything other than anecdotal relevance. The U.S. will have to decide for itself if ESL is relevant.

Simon Calder, SpiraTech – I think superficially the answer is yes. The Asians and Europeans appear to have embraced a more 'Drains Up' approach. But if you analyze it further, what has really happened is that they have just been more willing to use the early ESL offerings from the EDA industry. In reality, many U.S. end-users have been using highly sophisticated internally developed tools and methodologies for years. My belief is that the U.S. customers will move rapidly when they see that there are tools available that really will save them time and money, like they did with Verisity.

Emil Girczyc, Summit Design – Europe and Asia have adopted SystemC to a greater extent than U.S. companies, largely because they moved to ESL after SystemC existed and have a stronger belief in standards. However, U.S. companies are accomplishing many of the same tasks using C and C++. In many cases, the lack of adoption of SystemC in the U.S. is because U.S. companies became adept at performing ESL tasks in C/C++ and, having a working methodology, see no reason to change. Once more commercial tools based on SystemC demonstrate their value, design teams in the U.S. will be quick to adopt them, as quick, or quicker than their counterparts in Europe and Asia.

Simon Napper, Synfora – It’s not clear that the U.S. should care, unless a lack of ESL capability hurts productivity and makes it less competitive. We are still in the early days of deployment, and despite all the talk about ESL, there will continue to be reluctance by design groups to adopt ESL until an effective and automatic way of generating custom IP is established. Once that is established, companies will be driven by competitive issues to get on board with ESL.

Q.9 – Are European companies forced to guarantee employment for their employees, and therefore forced to move to new technology paradigms in order to find something for everybody to do?

Gary Smith, Dataquest – Come on Peggy, you can do better than that!

Mark Lippett, Ignios – No, although it is tempting to think that labour laws are the same for every country in the European Community, this is not the case. In the U.K. we are certainly not required to guarantee employment, which is one reason why the UK has a comparatively vibrant startup community.

Simon Calder, SpiraTech – In the last downturn, there were certainly European companies that had more engineers than their surviving projects nominally required. This talent was focused on projects with longer term productivity gains in mind. I believe the U.S. companies cut back deeper and did less longer term methodology planning. That is changing rapidly and we see U.S. companies putting more energy into their design methodologies.

Simon Napper, Synfora – No. We are all competing worldwide and there are no places to hide. Europeans are well aware of this and they are looking to boost productivity to remain competitive. That’s why they also are focused on the promise of ESL.

Q.10 – In other words, is productivity in Europe & the U.S. versus that in Asia the real question here?

Gary Smith, Dataquest – That has a place, but the top priority is increasing functionality.

Brett Cline, Forte – Productivity directly relates to cost and our costs are generally higher than the costs in Asia.

Emil Girczyc, Summit Design – The real issue to ESL adoption is not productivity, but rather one of risk versus perceived value and need. The large companies in Europe and Asia still have central CAD organizations chartered to investigate and adopt new tools and methodologies (often before they are fully mature). To convince U.S. companies with no or a small central CAD group to adopt new tools and methodologies, the value of tools must be quickly demonstrated and the risk for use on a real project must be low.

We see our customers adopting ESL on the basis of the needs of their project. In Japan, the high integration of IP within the consumer electronics space is clearly being served by ESL. In Europe, wireless device development calls for ESL. In the U.S., the networking companies are modeling entire networks with ESL. Again, it's a difference in project focus and needs for modeling, design and verification.

Simon Napper, Synfora – Productivity anywhere to compete everywhere is the real question.

Q.11 – Shall we let Asia have the consumer electronics market and find other markets for the U.S. and perhaps even Europe to pursue?

Gary Smith, Dataquest – Not out of the question. "Consumer market" and "profits" don't always fit into the same sentence.

Brett Cline, Forte – That is an option, but I don’t believe that Intel, Motorola, HP, Broadcom, Qualcomm, TI, Apple, Cisco (Linksys), PalmOne, Creative Labs, NVidia, ATI, Microsoft, and others would concede that.

Simon Calder, SpiraTech – The U.S. still dominates, processors, DSPs, communications, graphics, digital music and embedded operating systems. Try and make a consumer product without any or all of those! I believe that in 5 years time at least 75% of ASSPs will have a mass-market consumer use. The big brand names may become exclusively Asian and European, but I will not bet against the U.S. semiconductor companies maintaining if not increasing their market share.

Simon Napper, Synfora – Good luck. I don’t think U.S. and Europe can afford to cede that market unless they are willing to tank their economies in the short term. For the next few years, the consumer market is going to drive semiconductor volumes, tools, and methodologies. The U.S. has been in this position before – behind the eight ball – and has always managed to compete.

Q.12a – Where is the ESL market? U.S.? Asia? Europe?

Gary Smith, Dataquest – All of the above, but it's somewhat methodology dependent.

Q.12b – How does your company play in the ESL market? Which market is that? U.S.? Asia? Europe?

Shiv Tasker, Bluespec – Bluespec is re-inventing hardware design. Bluespec's tools deliver substantial productivity improvements in the design process for all applications whether control or datapath dominated. Its strong semantics (elaboration, static checking, state and concurrency model, interfaces and composability) permit smooth, correct refinement from abstract, "transaction-level" models down to a level that, while still substantially higher than RTL, can be synthesized into high quality hardware.

While other approaches may offer benefits to some sub-blocks within a design, Bluespec accelerates the entire chip design process. Bluespec is engaged with customers in the U.S., Asia and Europe.

Jeff Jussel, Celoxica – Celoxica sells ESL tools to system designers where the value in the system is the algorithm and where time to market is a priority. Our customers are in diverse fields including military/aerospace systems implemented on FPGAs, consumer applications using structured ASICs, and embedded systems used to accelerate software for security or medical imaging or genome processing to list a few.

Some of these customers are software designers, some are hardware designers, and some are algorithm experts with no implementation knowledge. The one thing they all have in common is the need to quickly implement a software algorithm across both an embedded processor and custom hardware. This holds true across geographies with about 40% of our business coming in Japan/Asia, 35% in the U.S. and 25% in Europe.

Mark Milligan, CoWare – CoWare enables customers worldwide—in the U.S., Asia, Japan, and Europe—to rapidly create differentiated algorithmic-, processor- and software-centric SoC designs. In 2004, we believe CoWare was the seventh largest EDA company. The larger companies are public with predicted growth rates of 20% or less. CoWare’s predicted growth rate is much higher, making us one of the fastest growing companies.

We believe this growth results from a focus on ESL versus the “automating the automated” focus of traditional EDA companies. The software effort overtakes hardware at 130nm, and the architecture effort overtakes physical design at 90nm. ESL will only continue to grow in importance.

David Stewart, CriticalBlue – At CriticalBlue, we have focused on the U.S. and European markets for now. We also believe that Asia (especially Japan) will also be a very good market for us. Our Cascade product is generating significant interest because it works within existing software and hardware development environments but also delivers dramatic improvements in development time while retaining a degree of software programmability. The architecture, implementation and management of programmable systems is the way forward because it ensures a route from generic embedded software. Some day, all products will be designed this way…

Brett Cline, Forte – Forte is leading the charge in ESL by allowing designers to move up in abstraction level. People have been using higher-level languages for verification for some time now, but actual design is only now enabled by viable high-level synthesis like Cynthesizer. We’re leading the market in this area with more than 75 designs completed, more than 10 currently in production now, and several tapeouts already done. This is how to drive change and that is what Forte is doing. Forte’s initial customers are primarily in Japan, but we are now seeing serious activity in Europe and more interest in the U.S.

Mark Lippett, Ignios – Ignios is a semiconductor IP provider providing an efficient runtime system management solution for heterogeneous multicore SoCs. Commercially, we use ESL tools to demonstrate the value of our solution, internally we use them for system level validation. We engage with design teams around the world.

Ken Karnofsky, The MathWorks – The MathWorks is the leader in Model-Based Design, which encompasses embedded system and electronic system design and verification, and integrates with downstream tools for implementation. Model-Based Design with Simulink and MATLAB solves the real problem facing electronics companies – that design flaws introduced at the specification stage are not detected until late in the process, causing delays and missed market opportunities.

While ESL companies are talking about an upcoming age of embedded software, MathWorks customers are using Simulink today to design their embedded systems and automatically generate production implementations on processors and FPGAs. And while ESL companies are talking about modeling hardware at higher levels of abstraction, MathWorks customers are using MATLAB and Simulink to eliminate design flaws and achieve multi-million dollar cost reductions and time-to-market advantages because the chip works the first time.

Simon Calder, SpiraTech – We believe that for the next 10 years the EDA front-end will be of heterogeneous abstraction. More and more people are agreeing. This means that for everything to work together, verification components called 'Transactors' will be required by everyone, everywhere. Transactors are the EDA devices that mix and match the multiple levels of abstraction that exist at and above RTL. SpiraTech makes transactors, but most importantly we are the only company making tools which automate their creation. We will supply a large library of transactors for common protocols to all comers, make transactors for large companies with proprietary interfaces to support and last but not least sell our Transactor Compiler to those few companies who still design major industry standard interconnects.

Transactors are used in simulation, test, debugging, protocol checking, protocol coverage analysis and performance profiling. Gary Smith reckons those 'ESL' markets should be worth $600M by 2008.

Emil Girczyc, Summit Design – Summit delivers System Architect to assist design teams with architectural design and tradeoffs, and to analyze / characterize system-level performance. System Architect was first adopted in the U.S., and is now gaining momentum in the other regions. Summit also recently announced Vista – the first debug environment specifically designed for SystemC. It is gaining traction first in Asia and Europe because this is where the use of SystemC is more prevalent.

Simon Napper, Synfora – Synfora is focusing on delivering a practical ESL synthesis that is a practical capability for designing complete application engines from C algorithms. We are focusing on the consumer applications that are driven from C reference algorithms and need custom application engines integrated into a platform SoC.

We are focused on state-of-the-art algorithms such as the H.264 video standard. Synfora’s focused on these projects because designers are facing such a daunting design task. And ESL synthesis becomes a lifeline to help them achieve design project goals. These complex designs put significant demands on the synthesis capability to produce complex hardware structures, to automatically deal with unit-level and system-level verification issues, and RTL-GDS issues such as timing closure.

Q.13 – Do you agree with Wally Rhines' keynote statement at DVCon that with the move to ESL, we can anticipate 5 million people worldwide empowered to design?

Gary Smith, Dataquest – Why not. We are closing in on a million right now.

Jeff Jussel, Celoxica – Yes, and this is the exciting thing about ESL. More than any other field, ESL is opening doors for a wide variety of applications to use semiconductors and in particular FPGA. They look at FPGA as a way to accelerate their systems using a custom 'co-processor'. The ESL tools are an enabling technology that will let EDA sell into markets that up until now could not take advantage of custom hardware. There are many more of these types of applications than there are hardware design groups, or even embedded software design groups.

David Stewart, CriticalBlue – I’m not sure about the specific numbers but if you agree with my definition of ESL above, then you can immediately include the majority of the embedded software developers in our world; they all become prospects for buying design automation solutions. If we, as an industry, can harness that opportunity then the future is very bright.

Brett Cline, Forte – There is no doubt that moving up in abstraction allows additional people into the mix more easily.

Mark Lippett, Ignios – It is our view that the trend towards proportionately fewer silicon platforms that have greater flexibility (i.e. programmability), will continue unless design and fabrication costs become substantially cheaper. For non-field reprogrammable hardware architectures, this will restrict mainstream ESL usage to software architectural exploration on a given hardware platform. On the flip side, increasingly complex software programmable platforms will mandate more representative environments for software programmers; these environments can be created using ESL tools.

Simon Calder, SpiraTech – Yes and no. I think Wally was referring to the Embedded software developers market.

An analogy that comes to mind is Gillette looking at China and seeing 600 million new customers for Mach4. They know that the percentage that can spend $25 on 4 razor blades today is very small. Over the next 25 years that percentage will grow. But Gillette already sells $6 Billion of razor blades at margins we have not seen since the 1990's! We in EDA need a quicker fix than that.

The worldwide semiconductor market as grown to $240 Billion, we in the EDA business should be at least 3% of that. 1.5% for time-to-revenue, 1.5% for yield enhancement, power savings and die size. Getting our fair share from our existing user base of lets say 100,000 is a much easier task than turning 4.9 million people who currently pay nothing for anything into profitable customers.

Q.14 – Is it fair to accuse any of the big EDA players of standing in the way of the move to ESL?

Shiv Tasker, Bluespec – No. The market will drive the move to ESL, but only when solutions materially impact overall chip projects, not just sub-blocks.

Jeff Jussel, Celoxica – The big EDA players tend to be more conservative and let the innovation and associated market risk play out among the start-ups. It's not fair to say that they stand in the way of that innovation, though at times their marketing machines create some confusion that might slow things down a bit. In the end, they will join in as the winning ESL methodologies and technologies become apparent.

Mark Milligan, CoWare – It’s not fair to accuse the big companies of standing in the way; they haven’t. All are members and significant contributors to efforts like OSCI. Some of them dabble in ESL in areas close to what they do today. But it’s unfair to expect them to come up with dramatic new breakthroughs—these typically come from new companies. Partnerships are probably the best strategy in ESL. Cadence, for example, partnered with CoWare to help accelerate connectivity from ESL into EDA verification flows.

David Stewart, CriticalBlue – I’m not sure that they are standing in the way of ESL. Rather, I think they do not have the vision to first of all see the opportunity that expanding into these new communities will bring. Second, and perhaps more importantly, they don’t seem to realize that the status quo – constraining EDA to the part between RTL and GDSII – is barely sustainable and certainly isn’t a growth opportunity. It’s in the name EDA – design automation – and we need to spread that automation into other areas of the electronic product chain. This is not optional, it must happen, and if the big guys don’t do that us little guys will.

Brett Cline, Forte – The big EDA players have big revenue streams to protect. If a company has an RTL product line that brings in $300-500M a year, they're going to be very sensitive to maintaining that. It is very difficult for the big companies to spend a lot of money on an R&D group for a new product that will lose money for a bunch of years before breaking out. This is one of the reasons that EDA innovation traditionally comes from startups. But, make no mistake – the budget money has to come from somewhere. With the average customer budget increasing very modestly each year (5% +/-), the ESL tools are getting money from the budget traditionally allocated to RTL tools. This is why the big companies eventually acquire the small companies – to get new technology and grow.

Simon Calder, SpiraTech – No, I would argue that I have seen more Start-ups mis-defining ESL and distracting some of the investment that could be better deployed in accelerating the natural evolution that is occurring naturally. I would say that I have seen some of the bigger guy's over estimate their influence on the customers and make forlorn attempts to orchestrate the migration.

Emil Girczyc, Summit Design – I don't know that it's fair to say that the big EDA players are standing in the way of the move to ESL, but more appropriate to say that they are trapped in the classic "innovators dilemma" as described by Christensen – they don't see the solution because it is not a natural extension of their business and their existing customers are asking them for more and better of the same tools for the same tasks.

The business of the EDA companies is based on hardware groups designing larger and more complex chips that are then programmed to build systems. With ESL, software applications are designed, and then the hardware that best implements the application is selected, often using large amounts of existing IP blocks. ESL addresses new problems, and creates new opportunities for companies that deliver solutions to those problems.

Simon Napper, Synfora – They are not standing in the way; they just don’t appear to be driving the move. This is common behavior, as most significant advancements in EDA technology have come from start-ups. They (the big players) will start getting interested when they see significant design wins by start-ups.

Gary Smith, Dataquest – Not really. They are suffering from the same problem Calma, Applicon & Computer Vision – Daisy, Mentor & Valid did when their methodology changed. Let's see if Cadence, Synopsys and Mentor can do better than those earlier industry leaders did.

[Editor's Note – Thanks to all of the panelists for their contributions to this conversation. I'm sure we'll be hearing more from all of you in various venues over the coming months.]

Back to Top

Commerce & Industry

AccelChip Inc. announced its 2005.1 release of AccelWare IP toolkits and AccelChip DSP Synthesis product. The company says its new AccelWare cores "extend the company’s leadership position in cores that directly implement matrix operations for wireless communications, signal processing, and other forward-error correction applications. Additionally, the 2005.1 version of the AccelChip DSP Synthesis tool integrates the algorithmic synthesis environment based on MATLAB with Xilinx System Generator."

Accelerated Technology, a Mentor Graphics Division, announced its Nucleus Inter-processor Communications (IPC) software, which the company says is an application-level tool that enables high-speed communications between two or more processors running on the same chip, computer or over a network.

Accelerated Technology also announced that a Running System Debug (RSD) enhancement supporting the ARM RealView Developer Suite has been added to the Nucleus PLUS real-time kernel. The company says this enhancement will allow the Nucleus software application developers using the RealView RVD Debugger to halt individual Nucleus software tasks without needing to halt the CPU.

Actel Corp. and Prover Technology, Inc. announced that the Prover eCheck equivalence checker has been "validated for design verification" in Actel’s Libero Integrated Design Environment (IDE). In addition, Prover says it has joined Actel’s Alliance Program.

Actis Design, LLC says it has begun shipping AccurateC Release 2.4. the company's static C++ code analyzer for SystemC that Actis calls "the first software tool used in the SystemC code development flow." The company says previous versions were used post compilation, but AccurateC Release 2.4 can analyzes SystemC code prior to compilation, simulation or synthesis, and therefore designers can analyze either one module or the entire SystemC design.

Agilent Technologies Inc. announced major developments in its EDA frequency-domain simulation technologies for RFIC, MMIC, and RF SiP design. The company says, "Simulation speed improvements of up to 50 times have been achieved for large and complex circuits used in wireless communications products and aerospace and defense applications. Customers have observed excellent results with test circuits containing thousands of transistors, such as transceiver ICs for wireless local area network (LAN) applications."

Aldec, Inc. announced its Riviera-IPT with support for the ARM926 hardcore processor including functionality for SMART Clocking for the ARM processor, which allows the designer to combine emulation speed with simulation functionality, and Memory Mapping, which is extended memory support to permit the designer to emulate various RAM architectures in a single device while at the same time substantially reducing the simulator overhead that would normally be required to handle these memories.

Altium Ltd. announced a product roadmap for its P-CAD technology. The company says the roadmap is "an insight into Altium’s ongoing support and development of P-CAD, and demonstrates Altium’s commitment to meeting the current and future design needs of its customers."

Altium also announced version 2.2 of its TASKING TriCore VX-toolset. The company says new features are "aligned" to Infineon’s 32-bit TriCore microcontrollers, and include: Code performance improvement of up to 25%; Build speed improvements – compiler time reduction of 55% and overall assembler improvements of around 80%, Extensive support for Infineon’s Debug Access Server (DAS) solution, and TCP/IP stack reference design as sample project.

Ansoft Corp. says it has released a new version of AnsoftLinks that includes support for Mentor Graphics PADS Layout (formerly PowerPCB). The company says that AnsoftLinks allows for direct import of third-party PCB/CAD databases into Ansoft's electromagnetics software. Additional enhancements in AnsoftLinks include: simplification of the vias option for solid-model export; via fill option to define thickness in model units; ability to define a number of facets for pads and antipads; exportation of defeatured Ansoft files with plane extents; Integration enhancements for other third-party tools from Cadence, Mentor Graphics, Synopsys and Zuken.

Apache Design Solutions announced that STMicroelectronics has adopted Apache’s SoC power closure sign-off flow for its on ST’s Nomadik low-power application processor designs.

Apache also announced its RedHawk-EV dynamic power analysis and verification tool. The company says that RedHawk-EV provides increased coverage for design weakness identification and exploration, automatic supply noise repair for power closure sign-off, and higher capacity for transient simulation of SoC designs.

Applied Wave Research, Inc. (AWR) announced an agreement with TriQuint Semiconductor that will add AWR’s Microwave Office and Visual System Simulator (VSS) software to TriQuint’s EDA tool set.

Arithmatica, Inc. announced that Xilinx, Inc. used Arithmatica's CellMathT IP in its Virtex-4 SX55 FPGA. The companies say the SX55 device delivers 256 billion multiply-and-accumulate per second (MAC/s) processing performance, and with 512 XtremeDSPT Slices, is the "highest-performance" member of the SX family.

Arteris SA announced its first product offering, which the company describes as "a complete solution for creating Networks-on-Chip (NoC). Arteris NoC Solution is used to connect and manage the communication between the variety of design elements and IP blocks required in today's complex SoCs. The company's proprietary IP library utilizes a packet-based switch fabric in conjunction with Arteris NoC specific design tools to generate unique NoC instances. The result is the first commercial NoC solution that overcomes the limitations of traditional bus-based methods, while maintaining compatibility with existing interface standards and design tool flows."

ASSET InterTech Inc. announced that its ScanWorks JTAG test system has been integrated into Agilent Technologies’ new Medalist i5000 in-circuit test (ICT) system. Agilent says it is including ScanWorks as the JTAG "bundled solution" on its Medalist ICT product line, including the i5000 and 3070 Series systems.

Atmel Corp. and Celoxica Ltd. announced a joint effort that the two companies say will extend ESL design to a family of dynamically reconfigurable processors currently under development at Atmel. The new backend tools are being developed for new processors based on Atmel’s FPSLIC technology that is planned for introduction later in 2005. Tools from Celoxica’s ESL portfolio, the DK Design Suite and Agility Compiler will synthesize hardware accelerators from algorithms described in C or SystemC. Celoxica will also provide its hardware/software co-design technology and board-level integration technology to allow Atmel customers "a seamless implementation flow."

Atsana Corp. announced that Samsung Electronics selected Atsana’s J2211 media processor for its 2 Mpixel SCH-M309 camera phone.

Brion Technologies, Inc. announced its Aerion microlithography aerial image sensing technology platform. Working in the cutting-edge area of lithography, the company is developing products capable of capturing full-field, in-scanner aerial images at resolution and at the wafer plane for 193-nanometer and 248-nanometer wavelengths, under exact production conditions such as illumination scheme, lens settings and stage speed.

Brion Technologies also introduced its Tachyon RDI (RET Design Inspection) "model-based, full-chip verification tool for the production flow of post-RET design verification." The company says the Tachyon RDI 1100 is a hardware accelerated, image-based data and simulation engine for lithography modeling and database handling.

Cadence Design Systems, Inc. announced that its Encounter digital IC design platform helped Silicon & Software Systems design multiple 90-nanometer designs over the past 18 months. The companies say the designs ranged in complexity and size from 1 million to 10 million gates and exhibited performance in excess of 600MHz.

Cadence also announced that its Encounter platform helped GUC tape out seven 130-nanometer designs. The companies say the most sophisticated designs – with 3 million gates and 400 MHz clock speed – were closed with all timing and signal integrity requirements met. That's good news.

Meanwhile, Cadence and Virage Logic Corp. announced results of a collaboration to provide library views that the companies say better address low-power, multi-voltage nanometer designs. Virage says it has generated and qualified timing library views that include the Cadence effective current source model (ECSM) extensions for supply-voltage delay prediction and noise library views (cdB) for signal-integrity analysis. The companies report that when used with Cadence's Encounter digital IC design platform, the new library views will allow design teams to account for crosstalk, IR drop, voltage and frequency scaling, and multiple voltage-island support required for nanometer process technologies.

Cadence also introduced its OrCAD Signal Explorer, which the company describes as a "scalable, personal productivity product line." OrCAD Signal Explorer has new PCB-level topology exploration and signal integrity (SI) analysis technology.

Cadence also announced new design-partitioning technology for the Allegro PCB Editor. The company says the technology helps meet customer demands for "faster time to market and shorter design-cycle times" by assisting with concurrent collaboration for team-based PCB design.

Cadence also announced that Wipro Technologies has renewed an agreement under which Cadence will provide Wipro access to Cadence's technologies. The organizations say that this agreement marks the third renewal of the Cadence-Wipro business relationship over the past eight years Cadence also announced that Wipro taped out its largest design to date using Cadence tools.

Cadence Design Systems also announced Cadence Encounter Test Architect, which the company describes as "the industry's first full-chip test architecture development product. It includes the industry's first unified compiler-based methodology for full-chip test. The result is faster development of a higher-quality test infrastructure than is currently possible with point test tools. Based on a unique test infrastructure compiler, Encounter Test Architect supports a unified methodology for specifying, compiling, and verifying full-chip test. This includes scan, compression, memory BIST, on-product clock generation, boundary scan, and I/O test."

CAST, Inc. announced a new IP core that the company says implements a dual-role host/device controller in conformance with the On-The-Go (OTG) supplement to the USB 2.0 specification.

Celoxica Ltd. announced a new programmable SoC prototyping and development platform, the RC250 package, which the company says gives designers a hardware/software desktop environment for complex system development. The RC250 has an array of peripherals including analog and digital video I/O, two channel gigabit Ethernet and USB2.0. There is a platform support library (PSL) that allows access to the board-level features from the ESL. System level APIs supplied with the RC250 enable hardware/software co-design and architectural exploration of partitions, and helps with IP reuse by abstracting the specific board level detail away from the application code.

Concept Engineering GmbH announced NlviewWX, which the company describes as the sixth engine in its visualization software components that support Tcl/Tk, Java, the Microsoft Foundation Class (MFC) Library, Qt, and Perl. EDA tool developers can use the wxWidget environment to build EDA tools and can use Concept's NlviewWX engine to create GUIs for their EDA tools as well.

First Silicon Solutions (FS2) and Tensilica, Inc. announced that the FS2 System Navigator is available for debug and system integration of SoC designs with Tensilica Xtensa V and Xtensa LX configurable and extensible processors.

IMEC and CoWare say they have signed a "letter of intent to collaborate" towards the development of an integrated design flow for "efficiently mapping advanced multimedia and wireless applications on a flexible and programmable platform." The two organizations intend to close the gap between IMEC's proprietary research tools and CoWare's electronic system-level (ESL) design tools.

iRoC Technologies Corp. introduced its TFIT software, which the company describes as its "Soft Error Design Solution Platform." The software is designed to help analyze the impact of soft error strikes on custom designs in order to help meet reliability targets. Soft errors are defined as "transient faults caused by external radiation – mainly cosmic rays – that affect the logic states of ICs and memories."

Macraigor Systems LLC announced the availability of its J-Scan Version 1.0 boundary-scan debug and programming tool. The company says the new technology "allows circuit designers to facilitate early test development, thereby shortening the development cycle and prototyping process."

Magma Design Automation Inc. announced that Texas Instruments Inc., and Sun Microsystems will use design software from Magma as part of a collaboration on a next-generation computer system chip set.

Magma also announced that Enuclia Semiconductor has selected Magma’s Blast Create and Blast Plan Pro to prototype designs in FPGAs and structured ASICs. Carl Ruggiero, CTO of Enuclia Semiconductor, is quoted in the Press Release: "Enuclia has been impressed with the ability of Magma’s products to provide for seamless design transitions from FPGA prototyping to structured ASIC, and ultimately to our ASIC/COT design flow.

Mentor Graphics Corp. announced that its TestKompress embedded deterministic test (EDT) tool is being used by UMC in the foundry's 90 and 130-nanometer reference flows. The companies say that TestKompress tool has "proven to reduce manufacturing test time by up to 100x compared to other test alternatives, helping users increase test coverage and test quality on their complex devices without compromising test time or test cost."

Mentor Graphics also announced the release of its latest constraint editor system (CES) into its Expedition Series and Board Station RE PCB design flows. The company says this CES tightly integrates with the entire systems design flow from schematic entry through physical layout, facilitating multi-disciplined communication of high-speed design rules and constraints between engineers, designers and their tools. Constraints can be entered through a common GUI and then automatically accessed by individual tools in their native formats. The CES also supports bi-directional cross probing.

Mentor Graphics also announced a collaboration with VIA Technologies, Inc. to provide reference designs for use with the Expedition Series design flow for VIA’s new P4M800/Pro, PT894/Pro and K8T890/Pro chip sets. The companies say the reference designs are only available through VIA, and will allow Expedition users to reuse design data for

Mentor Graphics also announced that the Fraunhofer Institute for Integrated Circuits (IIS) has selected the Mentor Graphics Catapult C Synthesis tool for use in next-generation digital broadcast applications.

Mentor Graphics also announced "further integration capabilities" between CHS (Capital Harness Systems), the company's electrical design-to-build flow, and Dassault Systemes' CATIA V5 MCAD suite. The companies say that a new data bridge linking CATIA V5 with Mentor's Capital Engineer product is now available.

Mentor Graphics also announced that Renesas Technology Corp. has completed a joint development effort that integrates the Mentor Graphics 0-In Assertion Synthesis technology and assertion-based verification flows with Renesas’ LogicBench rapid prototyping system.

Nassda Corp. – which is in the process of being acquired by Synopsys, Inc. – announced the release of version 6.0 of its HSIMplus, HANEX and CRITIC verification products. The release includes new HSIMplus integrations with hierarchical parasitic extraction and digital simulation software aimed at higher-capacity IC verification for designs that combine analog, mixed-signal, digital and memory IP components. HANEX Version 6.0 enhancements include coverage of a wider range of design types, improved analysis of manufacturing variations on circuit performance and increased speed of analysis. CRITIC version 6.0 supports a streamlined crosstalk analysis flow and utilizes aggressor-only timing window data, or can analyze worst-case path delay if timing windows are not available.

In addition, Nassda and Mentor Graphics say they have collaborated to develop "the industry's first full-chip solution for hierarchical extraction and full-chip simulation of nanometer circuit behavior, signal integrity, power integrity and electromigration effects. The companies say the combination of Mentor Graphic's Calibre xRC extractor and Nassda's HSIMplus simulator provides "improved capacity and efficiency for verifying the impact of nanometer silicon on design performance and can lead to improved chip yield."

ProDesign announced the availability of the CHIPit Gold Edition Pro high-speed ASIC design verification platform for multimedia ASIC and SoC design. The company says the platform can be used for everything from the initial phases of design algorithm creation, through to basic IP development and debugging and the validation of SoC designs, as well as early "quasi prototyping" for firmware and software development.

Prosilog SA announced the integration of Yogitech’s OCP eVC in Magillem, which is Prosilog's platform based design environment. The companies say that eVC is imported, configured and connected to the DUT (Design Under Test). Yogitech’s eVC is registered in the Magillem Verification IP list.

Pulsic Ltd. announced that Elixent has licensed its Lyric Physical Design Framework. Elixent says it will use Lyric for automatic and interactive routing of its advanced cell designs for its D-Fabrix RAP cores.

Rambus Inc. and Cadence Design Systems, Inc. announced that Open-Silicon has licensed multiple Rambus RaSer serial link "offerings" through the Cadence-Rambus reseller program. The companies say that under the agreement, "Open-Silicon gains access to a portion of the portfolio of Rambus's silicon-proven, industry-standard RaSer PHY cells for applications such as PCI Express, 10-Gigabit Ethernet/XAUI and Serial RapidIO."

Naveed Sherwani, President & CEO of Open-Silicon, is quoted: "Our customers are looking for the most predictable and reliable ASIC turnkey solution available. Integrating Rambus's serial links into multiple customer designs has been incredibly successful. As an IP aggregator, Open-Silicon can provide this silicon-proven IP to our customers, hence simplifying the transfer of Rambus's IP to ASIC designers."

Opsware Inc. announced that Cadence Design Systems has selected Opsware as its "global IT lifecycle automation solution."

The Silicon Design Chain Initiative announced new design techniques that are said to achieve "total power savings of over 40 percent on a 90-nanometer test design. The low-power design employed an ARM1136JF-S test chip, ARM Artisan standard cell libraries and memories, Cadence Encounter design platform and TSMC's Reference Flow 5.0. Applied Materials, Inc., ARM, Cadence Design Systems, and TSMC form the Silicon Design Chain Initiative.

SoftJin announced plans to provide customized software development and building blocks that address the needs of suppliers in the DFY/DFM and programmable platforms space. The company says its new software building blocks for DFM/DFY products will be announced in Q2 2005. Be on the look out.

Synopsys, Inc. and Oki Electric Co., Ltd. announced that Oki is using Synopsys' new HSPICE high voltage MOS (HVMOS) model for the design of Oki's LCD TV driver SoC. Oki says its design team "achieved unparalleled accuracy using this HVMOS model with HSPICE technology."

Meanwhile, Synopsys and ARM announced a jointly developed low-power reference methodology (RM) for implementing ARM Intelligent Energy Manager (IEM) technology in silicon. The companies say they have proven that the IEM technology, when used with the ARM Artisan low-power library, can reduce the ARM processor energy consumption by up to 60 percent. Please recall that ARM acquired Artisan in 2004.

Synopsys also announced that Virage Logic Corp. has standardized on Synopsys' ESP memory equivalency checker for the embedded memory components of its IPrima Mobile semiconductor IP platform. The companies say the resultant increase in productivity has enabled Virage Logic to reduce the engineering time needed to complete functional verification of the circuits in its Area, Speed and Power (ASAP) Memory compilers from days to hours. Nice.

Synopsys also announced that Synopsys and Hitachi Global Storage Technologies have demonstrated 3Gb/s Serial ATA (SATA) II interoperability between Hitachi's high-performance Deskstar hard drives and the Synopsys DesignWare SATA Host Controller core.

Synopsys also announced DFT Compiler MAX, which the company describes as "its next generation DFT synthesis solution, offering 1-pass test data volume compression capabilities to address design and test challenges occurring in 130-nanometer and smaller process technologies." The company adds that DFT Compiler MAX is an extension of its "1-pass test synthesis solution that delivers push-button test data volume compression of 10-50x, enabling DSM testing for high fault coverage without significant impact on test costs."

Meanwhile, Synopsys and Grace Semiconductor Manufacturing Corp. announced that Grace has adopted Synopsys' DFM tool suite, including the Protease OPC and sill lithography verification tools.

Synopsys also announced that Synopsys' coreAssembler IP integration tool supports the SPIRIT 1.0 IP packaging standard. The company says that coreAssembler is "the only tool that supports a path to implementation in silicon for SPIRIT-compliant IP in addition to system-level integration and verification. Tight integration with the Synopsys Galaxy Design Platform and Discovery Verification Platform helps to achieve superior quality-of-results and speed the time to verification. By providing production support for SPIRIT 1.0, coreAssembler now enables designers to more rapidly integrate the broad portfolio of DesignWare IP, as well as third-party IP compliant with the SPIRIT standard."

Finally, Synopsys announced its Galaxy IC Compiler, which the company describes as "the next-generation physical design solution, endorsed by leading-edge early users including Agere Systems, ARM and STMicroelectronics. It is the first-ever physical design solution which provides concurrent physical synthesis, clock tree synthesis, routing, yield optimization and sign-off correlation, delivering unmatched design performance and productivity."

Tensilica, Inc. announced that it has posted "the highest score ever recorded for a licensable processor core, and the highest absolute score ever published for any processor, on the Office Automation benchmark suite of the Embedded Microprocessor Benchmark Consortium (EEMBC)." The EEMBC benchmark scores are independently certified by the EEMBC Certification Laboratories (ECL). Tensilica says its score confirms that "the Xtensa LX processor is nearly four times faster than the much larger PowerPC 440GX core, and more than 4 times as powerful as the 64-bit MIPS 20Kc processor."

Tensilica also announced that it has "teamed up with leading RTOS and IDE vendors to provide automated customization that match any and all changes designers might make to Tensilica’s new Xtensa LX configurable processor." The company says automated support is now available for Wind River Systems VxWorks and TORNADO II for VxWorks, and the Nucleus RTOS from Accelerated Technology, a division of Mentor Graphics.

Tharas Systems, Inc. announced that ATI Technologies Inc. has its selected Hammer 100 hardware accelerator to help in the verification of ATI's next-generation 3D graphics processor.

TurboTools announced its CablEquity product, first in the company's SystemEquity tool family. TurboTools says it is the first company in the industry that introducing the next generation of engineering automation solutions that "go beyond the capabilities of traditional EDA applications. The SystemEquity is defining the new market segment for EDA tools called System CAD or SCAD. TurboTools views SCAD as an entirely new method of managing system design and manufacturing processes."

VaST Systems Technology Corp. announced the release of CoMET 5.7, with features that include among many enhancements: support for VaST’s models, plus integration and co-simulation with previous generations of VaST models and support for SystemC models, standalone and integrated into full platforms.

VaST Systems also announced the addition of its Peripheral Device Builder (PDB) to the company's line of virtual system prototyping tools. PDB is designed to help VaST users to develop peripheral devices such as interrupt controllers, DMA VaST engines, timers, clocks, and memory controllers. The company says that PDB provides a common code base for VaST-generated peripheral models and enables users to create models from a high level behavioral description.

Verific Design Automation announced an interface between its HDL Component Software and the OpenAccess database. The company says the new interface has a link to Verific’s HDL parser for Verilog, SystemVerilog and VHDL, for fast netlist import, along with RTL support to the OpenAccess 2.2 database.

Verific Design Automation also announced that Silicon Navigator Corp. (SiNavigator) has licensed its HDL Component Software.

Virtio Corp. says it has released a software development model for the Texas Instruments’ TMS320DA295 portable audio playback processor. Virtio also announced support of the TI OMAP family, the VPOM-V1030 Virtual Platform.

X-FAB Semiconductor Foundries AG announced a collaborative agreement with Cadence Design Systems. X-FAB says it will "work closely with Cadence to build and deliver comprehensive design kits for analog and mixed-signal ICs targeting mainstream and advanced process technologies."

Xilinx announced version 7.1i of the Platform Studio tool suite for Platform FPGA embedded processing design. This new is aimed at the company's Virtex-4 FX family.

Xilinx and AccelChip Inc. announced a new interface between AccelChip DSP Synthesis and Xilinx System Generator for DSP tools which "enables rapid development of high performance DSP and communications systems. Jointly developed by Xilinx and AccelChip, this new interface enables designs captured in The MathWorks’ MATLAB language to be rapidly incorporated into System Generator designs for implementation and verification. System Generator for DSP is the framework for developing and debugging high performance DSP systems for Xilinx’s advanced FPGAs. System Generator, together with The MathWorks’ Simulink tool, provides the graphical design environment commonly used by system architects and hardware designers."

ZAiQ Technologies, Inc. and ProDesign Electronics Corp. announced that ZAiQ's SYSTEMware verification software and IP and ProDesign’s CHIPit Systems will be integrated to produce a transaction-based verification platform.

Zuken and LogicSwap have launched a new migration offering that allows users of P-CAD to transfer PCB design data, schematic databases and incorporate libraries to CADSTAR. Users will also be able to convert back to P-CAD if required using the CADSTAR to P-CAD solution. The companies say this development addresses migration concerns related to the preservation of customers' legacy EDA data, by providing solutions and services that accurately and reliably migrate design data, including EDA libraries. They also say that new tool evaluation decisions such as whether or not to abandon legacy data and fears about the loss of investment made in previous designs are no longer an issue, as the constraining factors that previously locked companies into a single supplier solution have been removed.

Zuken also announced that TopSPICE is now available as a simulation engine for the CADSTAR suite of schematic and printed circuit board (PCB) design tools.

Back to Top

Subscribe FREE to Chip Design!
www.chipdesignmag.com/subscribe

Economics & Finance

ASSET InterTech says that company has expanded its marketing and sales efforts in Germany with the naming of Logic Technology of the Netherlands, as its support and sales representative and ITPR as its public relations firm.

Brion Technologies, Inc. has announced itself and its technology platforms. The company was founded in 2002 in Santa Clara, CA and aims to develop products and technologies for "lithography-driven IC design and manufacturing." Brion Technologies is a privately held company, with "close" to $30 million in funding from U.S. Venture Partners, Morgenthaler Ventures, Mohr Davidow Ventures, JP Morgan and Stanford University in the U.S.; WK Technology Fund in Taiwan; and JAFCO Ventures in Japan. The company currently has approximately 50 employees.

Cadence Design Systems, Inc. and Verisity Ltd. announced that the waiting period under the Hart-Scott-Rodino Antitrust Improvements Act of 1976, as amended, relating to Cadence's proposed acquisition of Verisity, expired on Feb. 25, 2005. On Jan. 12, 2005, the two companies signed a definitive agreement under which Cadence agreed to acquire Verisity. The transaction remains subject to approval by Verisity's shareholders and satisfaction or waiver of other closing conditions."

DeFacTo Technologies – The company announced itself and says it will compete in the DFT market. DeFacTo’s tools will used for SCAN and BIST at the register transfer level, and will "fit non-intrusively into existing integrated circuit design flows, and will be used in parallel with other tools such as automatic test pattern generation and additional complementary DFT solutions. The tools will accept the same synthesizable RTL designs as those accepted by Synopsys’ Design-Compiler and other industry-standard logic synthesis tools. DeFacTo was founded in 2003 and raised Series A financing late last year. DeFacTo’s technology arises from work at the National Polytechnic Institute of Grenoble (INPG-France) in 1997, with leadership from Chouki Aktouf. The DeFacTo executive team includes Aktouf, President and CTO; Michel Oger, Vice President of Business Development; Philippe Duchêne, Vice President of Engineering; and James Girand, President of US operations."

eASIC Corp. announced the expansion of its products into Korea by way of a partnership with ADT, Co Ltd, (Advanced Design Technology, Korea). ADT says it will sell eASIC’s structured ASIC products in Korea and also provide design services and support to customers. Per the Press Release: "This expansion is part of eASIC’s aggressive growth plans for Asia Pacific and inline with its channel distribution sales strategy. "

Ignios Ltd. announced that ARTech Ltd. will provide "geographic sales representation" for the company in Israel. Additionally, the company announced that Keith Ahluwalia has joined the company as System Architect. Ignios CEO Rick Clucas also announced that the company with work with "local expertise … and use a mixture of direct and third-party sales and support channels according to market demand" in the various geographies the company is working within.

Mentor Graphics and UGS announced that they have signed a joint cooperation agreement to deliver interoperability between their products so as to provide "solutions" to meet the emerging needs of complex electromechanical platforms such as automobiles, airplanes and trains. The companies say the signing of this agreement represents "a milestone in cooperation between leading vendors from different domains – electrical and mechanical." Both companies are investing resources to develop this integration and plan to deliver the first stage of their integrated products in the second half of 2005.

Tensilica also announced that it has signed an agreement with NEC Electronics America, Inc. that says NEC Electronics America will distribute Tensilica’s Xtensa V configurable processors directly to NEC Electronics America’s ASIC customers. Per the Press Release: "Through this partnership agreement, Tensilica aims to expand its customer base while NEC Electronics America augments its strong IP portfolio for its SoC customers."

Tharas Systems, Inc. announced an agreement with First EDA Ltd. to establish a sales & technical support channel in the U.K. and Ireland.

Xpedion Design Systems announced new European headquarters via the formation of Xpedion France. The company says "Xpedion France marks Xpedion’s continued commitment and growth in the European market by adding to its current support in the U.K. and Sweden." The office is located in Limoges and will be managed by Jean Rousset.

Back to Top

Politics & Government

Cadence Design Systems announced the launch of the Cadence Designer Network. The Board of the International Cadence Usergroup (ICU) has voted to join forces with Cadence to form the new customer community. There will be a 22-member steering committee, including 15 customer members. The three executive members of the ICU – Chairman Michael Catrambone of UTStarcom, Co-Chair Sue Strang of IBM, and Communications Officer Steven Klass of National Semiconductor – will retain their respective roles with the new organization. Seven representatives from Cadence will also sit on the steering committee.

"The Cadence Designer Network will provide designers with a variety of ways to connect with other Cadence users to solve problems, to share ideas, best known methods and expertise. Cadence will offer three primary avenues to boost the flow of technical information. Under the direction of a customer-driven steering committee, Cadence will sponsor a series of global technical conferences called CDNLive!, including a major event in Silicon Valley Sept. 12-14, which is being co-sponsored by IEEE Spectrum. It will establish technical advisory teams to gain direct input from customers to help drive product development and technology roadmaps. Finally, beginning later this year it will host a Web-based community for customers."

Cadence also announced that it has contributed its custom-design schematic symbol set to the OpenKit Initiative, which is part of Accellera. Per the Press Release: "The Cadence contribution will form the basis of an open standard for the electronic representation of device symbols. Design kits provide the design rules, device models, schematic symbols and associated formats required by EDA tools during the design entry, simulation, implementation and verification steps of IC design. With the emergence of the design chain, design kits have become essential for linking semiconductor manufacturers and design teams developing IC-based products. With no current standards, nomenclature, use models, interfaces, quality thresholds, and delivery structures can vary widely, depending on the selection of tools, library or IP and targeted foundry requirements. The OpenKit Initiative has been working to address these problems since January 2004, and the Cadence donation represents a critical first step in creating standards for the design-capture phase of custom IC design."

The Fab Owners Association announced that Jazz Semiconductor has joined the organization. Jazz says it is "the FOA's first pure-play foundry to join."

Mentor Graphics Corp. announced its membership in the ProSTEP iViP Association ECAD Implementor Forum (ECAD-IF), which specializes in the harmonization and specification of ISO STEP data-models and data exchange interfaces (Standard for the Exchange of Product Model Data).

Open Core Protocol International Partnership (OCP-IP) announced that the OCP 2.1 specification will be available at the end of Q1 2005. Per the Press Release: "The specification will include profiles for the most commonly coupled OCP features and an advanced tagging scheme for enhancements in out-of-order processing. OCP-IP says the described profiles will fall into two categories: those based on IP core role in the larger system and profiles based on bridging to existing interfaces. These profile schemes will cover a large subset of typical design challenges, minimizing the learning process associated with adoption of OCP."

OCP-IP also announced that Nascentric, Inc. is joining the organization. Vess Johnson, President and CEO of Nascentric, Inc., is quoted: "Adoption of the OCP-IP standards and the use of well characterized boundary conditions will go a long way toward eliminating costly delays in the design cycle."

Omnify Software announced that it has joined the Mentor Graphics OpenDoor partner program. The OpenDoor program consists of companies that offer software products that complement the Mentor Graphics suite of tools in all aspects of the design process.

Silicon Navigator Corp. (SiNavigator) announced that it has joined the OpenAccess Coalition at the Director level and that SiNavigator’s Vice President of Engineering, Steve Potter, has been elected to represent the company on the OpenAccess Change Team.

Tensilica, Inc., announced that it has joined the EDA Consortium. Chris Rowen, President and CEO of Tensilica, is quoted: "We are pleased to be joining the EDA Consortium at a time when the electronic design process is starting to go through its next inflection point, up from designing with Verilog or VHDL to designing at higher levels of abstraction to manage both the complexity and time-to-market design issues."

Back to Top

Citizenry

Aprio Technologies Inc. has announced a new technical advisory board (TAB). The TAB includes Vassilios Gerousis, former Infineon chief scientist; Warren Grobman, former director of Design to Manufacturing for Motorola; William Ho, founder of Ceder Technology and Archer Systems which were acquired by Synopsys; and Kees Vissers, Principal Engineer, Xilinx Research.

EDN Magazine announced the winners of its 15th Annual EDN Innovation Awards. Winners of this year's contest are: Susanne Paul, Silicon Laboratories, Atheros Communications, AMCC, Matrix Semiconductor; National Semiconductor; National Instruments, Virtual Silicon Technology, Knowles Acoustics, Enpirion, Power-One, Intel, Texas Instruments, Tektronix, Eric Bogatin, PhD, Synergetix; and Gene Garat, Mentor Graphics.

Emulation and Verification Engineering (EVE) has named Alan Lipinski as Vice President of U.S. Sales, reporting to company CEO & President Luc Burgun. Most recently, Lapinski was Executive Vice President of Worldwide Sales and Business Development at Sequence Design. Before joining Sequence, Lipinski was with Cadence Design Systems, Virtual Silicon Technology, Dazix & Exemplar Logic (both part of Mentor Graphics, Meta Software (part of Synopsys), Computervision (acquired by Parametric Technology Corp.), Fairchild Semiconductor; and GenRad, (now part of Teradyne). Lipinski has a BSEE from Southern Illinois University.

EVE also announced that Dino Caporossi. has joined the company as Vice President of Corporate Marketing. Most recently, Caporossi was Vice President of Marketing at Hier Design, which was acquired in 2004 by Xilinx. He also held senior executive positions at Verplex Systems, acquired in 2003 by Cadence Design Systems.. Prior to joining Verplex, Caporossi was at Cadence and Compass Design Automation. Caporossi has a MSEE from Johns Hopkins University and a BSEE from the University of Maryland.

M2000 announced it has opened its first North American office in Sunnyvale, CA. Jack Koplik will head the office as Vice President for M2000 North America. Koplik has held several senior sales and marketing positions in the semiconductor industry, and was director of IP business development at memory supplier MoSys Inc. prior to joining M2000. Before that he was with Vweb and also worked at Compcore/Zoran IP.

Open-Silicon, Inc. announced that Edward Ross, President Emeritus of TSMC North America has been appointed to the Open-Silicon Board of Directors. Ross is also a member of the Board of Directors of three public companies, California Micro Devices (CAMD), Volterra Semiconductor Corporation (VLTR) and RAE Systems (RAE). He received his PhD from Princeton in 1969. Prior to joining TSMC, he was with Synopsys as a Senior Vice President, and prior to Synopsys, he was with Cirrus Logic as President of the Technology and Manufacturing Group.

Pulsic Ltd. announced the opening of its new U.S. headquarters in Santa Clara, CA. The location will be headed up by Kirti Parmar, Applications Director for North America. Previously, he worked for Cadence Design Systems, Magma Design Automation and Monterey Design Systems. Parmar will be joined by Lee Williams, AE, from the Pulsic office in the U.K.

Pulsic also named Peter Karpinski to serve as Senior Applications Engineer for the company, reporting to Kirti Parmar. Karpinski previously was with Cadence Design Systems as a Senior AE. He has also worked for Cooper and Chyan Technology before it was acquired by Cadence in 1997. Prior to Cooper and Chyan, Karpinski owned Ridge Designs 1977 to 1993. He also worked as a PC designer for National Semiconductor. Karpinski has a B.A. from U.C. Santa Barbara.

Synopsys, Inc. announced it has appointed Bill Frerichs as Vice President of Market Research and Strategy. Frerichs will be responsible for competitive analysis, customer and market research and strategic planning. Prior to joining Synopsys, Frerichs was a senior research analyst and the technology team leader at D.A. Davidson & Co. Frerichs was cited in the Wall Street Journal "Best on the Street" analysts' survey for his coverage of EDA. Prior to joining D.A. Davidson & Co., Frerichs was analyst team leader at Black & Co., now part of Wells Fargo Securities.

Tensilica, Inc. announced that Dan Weed has been named Vice President of Customer Engineering. Most recently, he was corporate vice president of strategic marketing at Cadence Design Systems, Inc. Prior to that, he held a number of senior executive positions at LSI Logic. Weed joined LSI Logic after working at Symbios Logic, which was acquired by LSI Logic. He started out at Fujitsu Microelectronics, Texas Instruments, Data General and California Devices.

Zuken has appointed Tony Battaglia as Vice President of Sales for the Americas. His professional experience includes senior sales and management roles with Genrad and Teradyne. Battaglie has a BS in Business Administration from the University of Texas in Dallas and an MBA in Marketing from the University of North Texas. Zuken also announced that Mike Petersen has moved to the newly created position of Senior Systems Engineer, and Mark Geise makes an internal move from Senior AE to Vice President of Customer Support.

Back to Top

Festivals & Fairs

EDAC East Coast Meeting – On April 7, 2005, EDAC is having it's first meeting on the East Coast in 3 years. The evening panel will discuss "EDA & IP Funding and Valuation in Today's Market" and will be moderated by EDN Magazine's John Dodge. Panelists will include Deutsche Bank Securities's Tim Fox, Fletcher Spaght's Pearson Spaght, Improv Systems' Cary Ussery, Needham & Co.'s Richard Valera, Silicon Dimensions' Don Zereski, and US Venture Partners' Jacques Benkoski. The event starts at 6PM and will be held at Cadence's offices in Chelmsford, MA.

Synopsys 15th EDA Interoperability Developers' Forum – The conference is on April 7, 2005 at the Sun Conference Center in Santa Clara. The keynote will be given by ARM's Mark Templeton. A panel discussion: "Interoperability: Does It Pay to Play Nice? (or Do Nice Guys Finish Last?)" will be moderated by Jasper Design's Kathryn Kranen. Synopsys' Rich Goldman will also be speaking, as will people from Sun, Open Access, IEEE SystemVerilog, EDAC, Aldec, Willamette HDL, EVE, and Fishtail will also be presenting during the day.
http://www.synopsys.com/cgi-bin/devforums/idf-reg.cgi

ISPD – Organizers say The International Symposium on Physical Design provides "a high-quality forum for the exchange of ideas and results in critical areas related to the physical design of VLSI systems. The scope of this symposium includes all aspects of physical design, from interactions with behavior- and logic-level synthesis, to back-end performance analysis and verification. The symposium is sponsored by ACM/SIGDA with technical co-sponsorship from the IEEE Circuits and Systems Society. Financial support has been provided by Cadence, Golden Gate, IBM, Intel, Magma, Mentor Graphics, Sierra, and Synopsys." It's happening this year in San Francisco from April 3-6, 2005 and is being co-located with SLIP 2005.
http://www.ispd.cc/

2005 Electronic Design Process Symposium –This year, the event will focus on design flows that support SuperSize designs and the related DFM issues. The symposium is on April 7-8, 2005 in Monterey, immediately after ISPD. The keynote speaker will be IBM's John Darringer and dinner attendees will hear Greg Spirakis, "recently of Intel." The Symposium General Chair is Dwight Hill of Synopsys and the Program Chair is John Lillis of the University of Illinois.
http://www.eda.org/edps/

WESCON – Speakers at the Santa Clara Convention Center from April 12-14, 2005 will include: Mentor Graphics' Henry Potts, PDF Solutions' Andrzej Strojwas, Test & Measurement World's Rick Nelson, Si2's Steve Schulz, IBM's Kevin Roche, Array Communications' Martin Cooper, and Step Communications Arthur Astrin.
http://www.wescon.com/

The 6th OpenAccess Conference – The conference will take place on April 18, 2005 and is sponsored by the Silicon Integration Initiative (Si2). It's going to be in San Jose. The conference will highlight many new applications, features, and "value propositions" that new tools offer to end-users and developers. The event includes a Partners Pavilion with live demos of OpenAccess applications.
www.si2.org/oaconf2005/agenda.htm

ICCAD-2005 is happening November 6-10, 2005 in San Jose, CA. Organizers say that this year, in addition to traditional CAD topics, ICCAD has expanded its focus to include innovative design technologies for devices, circuits, and. systems. The deadline for submissions is April 20, 2005.
http://www.elabs3.com/c.html?rtr=on&s=ejn,b9i9,xti,2j08,2xm3,kgnn,bzs1.

Mentor Graphics User2User Conference – This will be the 21st annual Mentor Graphics Users' Conference, and it's happening April 27-29, 2005 in Santa Clara, CA. The event will feature two keynote speakers. Aviation pioneer Burt Rutan, chief designer of SpaceShipOne, and Michael Sander of NASA's JPL. In addition, Adam Savage and Jamie Hyneman of the Discovery Channel's MythBusters will also make an appearance.
http://www.mentor.com/user2user/

Denali MemCon 2005 – Organizers say this is the industry's premier event for leading-edge trends in the business and technology of semiconductor memory, storage, and chip-to-chip communications. Each event includes keynotes, panel discussions, and more. Upcoming dates include MemCon Austin on April 28, 2005 at the Barton Creek Resort, Austin, TX, MemCon Tokyo on September 15-16, 2005, and MemCon San Jose on October 12-13, 2005 in Santa Clara, CA.
http://www.memcon.com/taiwan/?ZGVuYWxp

Accellera invites Nominations – Per organizers: "You are invited to nominate an individual for the second annual Accellera Technical Excellence Award. This award recognizes major contributions to the development of standards in Accellera. Please send your nomination by April 30th to: Victor Berman Chair, Accellera Awards Committee email: award@accellera.org The award will be announced on June 15th."

2005 EDA Tech Forum - Mentor Graphics, as well as Altera, Artisan/ARM, Chartered Semiconductor, The Mathworks, VSIA, and Accellera will be sponsoring this series of conferences in the coming months. Each conference will include technical sessions and hands-on self-paced workshops covering functional verification, integrated system design, and emerging technologies, with presenters from [sponsoring] companies. In total, 18 conferences are scheduled for various venues worldwide in 2005 including: Dallas, Texas; Phoenix, Boston, San Jose, Ottawa, Dresden, Reading, Paris, Penang, Bangalore, Delhi, Beijing, Shanghai, Hsinchu, Seoul, Tokyo, and Kyoto.
http://www.mentor.com/events/techforum/index.cfm?fa=techforum.venue&venueid=77

SAME 2005 is happening in Sophia Antipolis, France on October 5-6, 2005. Organizers says that his year, the main topic for the forum will be SIPs and SoCs. The deadline for submissions in May 2, 2005.
http://www.same-conference.org/

DAC 2005 – The conference is happening June 13-17, 2005 in Anaheim, CA. DAC is the annual event where the electronics design community meets for a week-long forum of information exchange on management practices, products, methodologies and processes. Attendance usually includes 12,000+ developers, designers, researchers, managers and engineers from leading electronics companies and universities worldwide. The conference is sponsored by ACM’s Special Interest Group on Design Automation, the Circuits and Systems Society and Computer Aided Network Design Technical Committee of the IEEE, and EDAC.
http://www.dac.com/

Back to Top

Peggy Aycinena authors EDA Nation, and owns and operates EDA Confidential at http://www.aycinena.com/. She can be reached at peggy.aycinena@extensionmedia.com.

Subscribe FREE to EDA Nation!
Click here to receive EDA Nation into your email box every month and Chip Design Magazine at your door every other month.